Engineering
Global

Engineering Experts

Arthur Del Prado


founder
ASM International
Netherlands

Biography

Arthur del Prado, ASMI's founder and former CEO, played a fu​ndamental and founding role in the semiconductor industry. He globally is viewed as a legend within our industry and his legacy extends from Silicon Valley to Tokyo.​​​​​ ​He excelled in innovation. Combined with strategic vision and a steadfast focus on the long-term, it proved the touchstone of his success and laid the foundation for the growth of ASM and the semiconductor equipment manufacturing sector. From its onset, more than five decades ago, to the present day, his imprint and influence on the industry is clear and unmistakable. In the 1950s, he was first to see a significant role for the Netherlands in the then fledgling sector. He founded ASM in 1964, and was its Chief Executive Officer until 2008. In 1975, he established ASM Pacific Technology, and was Chairman until May 9, 2016. His entrepreneurship and drive led to the creation, in 1984, of ASML, a joint venture between Philips and ASM, and later BESI and Mapper. He participated in European research projects such as JESSI and MEDEA. Del Prado was born in Batavia in 1931. In World War II he was interned, without his family, in a Japanese prison camp. After liberation, he was reunited with his parents and sister and the family moved to the Netherlands, where he studied Chemistry in Enschede and Economics in Amsterdam, after which he spent some time at Harvard Business School in Boston. In 1954 he moved to the US and there - in what is now known as Silicon Valley - was first struck by the entrepreneurship and innovation of the burgeoning semiconductor industry. Returning to the Netherlands in 1958, he developed the Dutch and Western European market, mainly for American suppliers of semiconductor technologies - initially in Naarden and, from 1961, in Bilthoven. In 1964, he started Advanced Semiconductor Materials (ASM), registering it as a private company (BV) in 1968. Del Prado and ASM were the point of entry to the European market for American suppliers of semiconductor technologies. As a result, he had, effectively, created the European semiconductor equipment industry. His charisma, energy and optimism won him the confidence and support of many. Del Prado thought only in opportunities and rarely saw obstacles. He had the ability to identify and develop promising technologies at an early stage. And his vision extended far beyond the Netherlands. But, while he was a true cosmopolitan, he never lost touch with his Dutch roots, remaining convinced of the contribution the Netherlands could make to semiconductor technology. He was daring, often referring to himself as a katjong - a Dutch-Indonesian term for a naughty boy. And it was this strategic skill that helped him build a key position in the industry. In 1971, ASM launched production of the first vapor deposition furnaces. The company grew from sales to innovation, developing and manufacturing its own technologies. In 1974, Del Prado acquired a controlling stake in Fico Toolings, a manufacturer of materials for use in the semiconductor packaging industry. Renaming it ASM Fico, it opened the way to new and bigger markets beyond Western Europe. The products of ASM Fico were used for the packaging and assembly of semiconductor devices. From the 1960s, this part of the industry was commonly located in Southeast Asia. Seeing the trend, in 1975 Del Prado opened ASM Asia in Hong Kong. Initially the office sold ASM Fico products, but, over time, it developed its own production capacities. In 1988 these activities would be publicly-listed as ASM Pacific Technology. That company would grow to become the world's largest supplier of semiconductor assembly and packaging technologies. In 1976 Del Prado established ASM America, its first US operation in Phoenix, Arizona, nearby Motorola Semiconductors, then the largest semiconductor manufacturer. But more significantly, ASM America was the platform by which Del Prado brought the Plasma Enhanced CVD process to the market, marking the breakthrough of ASM as an original equipment supplier. Such consistent rapid growth could only be sustained with new investment. In 1981, ASM International became the first Dutch company to have a primary listing on NASDAQ, the then-young technology stock exchange in New York. A year later, it would be the first Dutch company to establish a wholly-owned subsidiary in Japan. The NASDAQ listing put ASM and Del Prado on the international map. In 1983 he was named Director of the Year by the Dutch Association of Board members and Supervisory Board members (NCD). A year later ASM launched a joint venture with Philips to develop and market Philips’ lithography technology. Del Prado had spent years urging Philips’ management to team up before finally convincing them. The company was called ASM Lithography - now known as ASML. By the mid-eighties, ASM's product portfolio included nearly all major production technologies: deposition furnaces, lithography, ion implantation, die and wire bonders, and various packaging technologies. The company was well on its way to being a one-stop-shop for the industry. Del Prado's strategic focus on a wide range of technologies and markets would ensure it continued to prosper and was not dependent on any individual element. While he remained constantly and closely informed of developments, Del Prado believed in giving people the freedom to capture opportunities. He believed local managers knew more about local needs than he did, and he placed tremendous trust in his technicians. It was this philosophy that propelled ASM through rapid growth in the mid-80s, further boosted by a booming market for semiconductor technologies. In 1996, ASM International was publicly-listed on the AEX in Amsterdam. Under Del Prado's leadership, it acquired Finnish company Microchemistry (1999) and Korean group Genitech (2004), cementing its position as market leader in atomic layer deposition (ALD). In 2001, Del Prado participated in the first-round investment for Mapper Lithography, a company focused on the development of an innovative maskless lithography machine. It would prove one of many occasions over the years when Del Prado's decision to invest early would give others the confidence to follow. The project was the ideal showcase for his long-term vision, drive and entrepreneurship. Del Prado remained closely involved until his death, as an important investor and as a member of its Supervisory Board. He believed strongly in the importance of this technology for the semiconductor industry. Mapper currently is in an important phase of its development, with the goal to become a world player in maskless lithography. In 2008, Del Prado was awarded the prestigious "Legend of the Industry" award at Semicon West, the first ever non-American to receive the prize, which is presented annually to an individual who has made extraordinary contributions to the semiconductor industry over an extended period of time. That same year, Del Prado retired as CEO, and shareholders approved the appointment of his eldest son, Chuck, as his successor. He remained Chairman of ASM PT until his retirement in May 2016. Arthur del Prado died peacefully at his home on September 9, 2016. He is rightly recognized as the father of the European semiconductor equipment industry. Indeed, it is impossible to imagine today's industry without him.​​

Research Interest

Nanotechnology

Global Experts from Netherlands

Global Experts in Subject

Share This Profile
Recommended Conferences